世の中をポジティブ転換したい情報サイト
  • ポジテン
  • hatenaブックマーク
CXMTのロゴ

中国DRAMメーカーCXMTの生産量と今後の投資目標

CXMTのDRAMの開発と生産状況

特許の問題

CXMT(ChangXin Memory Technologies:チャンシン・メモリー・テクノロジーズ)のような新参企業は特許が少ない事が問題だが、製造技術は2009年に倒産したキマンダ社(ドイツ)の技術を採用して製造していくと2019年に発表している。この発表は業界では衝撃ニュースに。

DRAMは記憶セルの構造により「トレンチ構造」と「スタック構造」の2種類に分類されるが、キマンダが得意だったのはトレンチ構造。

しかし、トレンチ構造は微細化に適していないため、キマンダはDRAM業界で標準となったスタック構造をベースにして、得意だったトレンチ技術由来の省電力性を組み合わせた「埋め込み型ワード線DRAM技術」を開発。

そして、2008年から「埋め込み型ワード線技術」を採用したDRAMを小規模レベルで生産開始したが、2009年に市況悪化で経営破綻。

倒産したキマンダの特許は、元々の親会社であるインフィニオン(ドイツ)が管理していたようで、将来的に中国がDRAMに参入することを見据えて、将来性のある技術は他社に売ったりせずに残しておいたのだと思われる。

キマンダ倒産後の特許の動向

  • キマンダが得意だったトレンチ構造技術は、微細化が必要な時代には適していないので台湾ナンヤ・テクノロジーに譲渡。
  • グラフィックスDRAM関連の技術は、市場規模が低く、需要も限定的なので、当時欲しがっていたエルピーダに売却。(なお、現在はエルピーダを買収したマイクロンがグラフィックスDRAMでトップシェア)
  • 埋め込み型ワード線DRAM技術などを中心として、将来有望な汎用的技術は残して知財ビジネスへ。←中国CXMTが取得したのがコレ

そして、キマンダに在籍していた技術エンジニアも起用して開発を進めているとされる。

さらに、CXMTは2020年に豊富な特許をもつラムバス社とDRAM関連のライセンス契約を締結。アメリカ政府から制裁を受けないように知的財産の問題は確実に解決しようとしている模様。

2022年4月追記
なお、2021年のヨーロッパの特許出願ランキングの半導体関連部門において中国CXMTが10位に入っているようで、これは製造技術や英知が確立している一つの証拠と言える。
出所:https://news.mynavi.jp/techplus/article/20220420-2326101/
スポンサーリンク

微細化プロセス

CXMTの発表によると、2009年に倒産したドイツのキマンダの46nmスタック構造DRAM技術を取得し、世界中から募集したエンジニアによってそのプロセス技術をシュリンク(縮小)し、19nmプロセスで量産が始まったとされる。

CXMTの技術開発は、台湾企業から引き抜いた開発チームと、サムスン出身者の開発チームの2チームが存在していて、台湾チームは19nmでサムスン出身チームが17nmの開発をしていた。

まずは19nmで量産を開始し、生産がスムーズになってきたら17nmに移行というプランがあったようで、それが今後は実現していく模様。

2022年4月追記
2022年3月に、17nmプロセスを採用したDDR3・DDR4量産品の歩留まりが40%に到達し、2022年の第2四半期(4〜6月)からニッチDRAMの出荷を開始する見通しであることが、調査会社によって明らかとなっている。
出所:http://www.emsodm.com/html/2022/03/24/1648095484320.html

2022年度末時点では17nmの生産に入っているとされるが、本質的には19nmレベル相当だったと言われている。

大手3社の製造状況

  • サムスンは2021年10月、EUV露光装置を使用し、14nmプロセスで量産に入ったと報道。
  • SKハイニックスは、2021年7月からEUV露光装置を使用した14nmプロセスDRAMの量産に入ったと報道。
  • マイクロンは、2022年11月から13nmの量産に入ったと報道。なお、12~13nmまではEUV露光装置を使用せずに製造していくと表明。

微細化が1nm進むと、300mmウエハーからとれるDRAMが最大25%増える。そのため、各メーカーは「1nm」にしのぎを削っている。

歩留り(良品率)

2020年時点では、まだまだ製造良品率(歩留り)が上がっていないとされ、業界では19nmプロセスの歩留りが50%未満ではないかと言われていた。

そして、2022年4月時点で、17nmプロセスの歩留りが40%に到達した事が明らかとなっている。

なお、歩留りが80%~85%以上にならないとビジネスが黒字化しないだろうというのが業界コンセンサス。サムスンやSKハイニクス、マイクロンなどの歩留りは90%以上が目標。

製造量と業界シェア

CXMTの生産量と市場シェアはいかほどか。DRAM業界の四半期ベースの業績を確認。

DRAMメーカーの四半期ベースの売上高と業界シェア推移
企業 2020年10-12月期
売上高・収益
[市場シェア(%)]
2021年10-12月期
売上高・収益
[市場シェア(%)]
2022年10-12月期
売上高・収益
[市場シェア(%)]
1位[韓国]
サムスン電子
74.40億ドル
[42.1%]
105.80億ドル
[42.3%]
55.40億ドル
[45.1%]
2位[韓国]
SKハイニックス
52.02億ドル
[29.5%]
74.26億ドル
[29.7%]
33.98億ドル
[27.7%]
3位[米国]
マイクロン
40.56億ドル
[23.0%]
55.87億ドル
[22.3%]
28.29億ドル
[23.0%]
4位[台湾]
ナンヤテクノロジー
5.19億ドル
[2.9%]
7.12億ドル
[3.1%]
2.54億ドル
[2.1%]
5位[台湾]
Winbond
1.57億ドル
[0.9%]
2.59億ドル
[1.0%]
1.04億ドル
[0.8%]
6位[台湾]
PSMC
0.56億ドル
[0.3%]
0.67億ドル
[0.3%]
0.23億ドル
[0.2%]
その他 2.23億ドル
[1.3%]
3.46億ドル
[1.4%]
1.33億ドル
[1.1%]
出所:トレンドフォース。市場シェアは金額ベースのシェア。
  • CXMTは「その他」に含まれる。
  • 2020年から2022年までCXMTは存在感を示せていない。

CXMTは製造良品率が上がった事により、2022年4月から積極的な販売を開始したとされるが、2022年10月の米国政府からの制裁により、製造プランに混乱が生じた模様。

スポンサーリンク

工場建設と設備投資の状況

CXMTの工場については、2021年時点で月産12万枚ウエハーレベルの巨大工場を合肥市に1つ所有。そして、2棟目の工場が2023年に起ち上がるとされる。

製造設備の投資目標として、2020年末で月産能力4万枚ウエハーの生産能力を2021年末までに月産8万枚、2022年までに月産12万枚にまで増産する予定としている。すべて合肥市1棟目ファブへの投資。

世界のDRAMメーカーすべての月産能力の合計が約140万枚ほどなので、月産12万枚は世界のDRAM生産能力の7~8%ほどのボリューム。

日本の製造装置メーカーである東京エレクトロンやSCREENなどによると、売上の2~3割が中国向けで、中国企業からの受注が強い状況だと発表されているが、必然的にCXMTからの需要も高いと予想できる。

最終的な目標生産量

CXMTの将来的な生産量目標は月産50万枚。半導体製造棟1棟あたり月産能力で12.5万枚ウエハーレベルの工場を4つ建設する目標をもっている。

12.5万枚×4=50万枚

この「50万枚」という目標は2020年時点でのDRAMトップの韓国サムスンの製造量レベル。かなりテンションが高いのだが、サムスンと競争してDRAM価格を引き下げてほしいのが日本の願い。

2022年4月追記
CXMTは、かつては月産50万枚の生産を目指していたが、現在はひとまず月産30万枚を目標としている模様。スマホやPC、家電などのDRAM需要が伸びないことや、米中対立の問題が背景にあると思われる。

LPDDRの生産

中国はシャオミ、OPPO、VIVO、ファーウェイなどのスマホメーカーがかなり多く、比例してDRAMのニーズがかなり多い。

スマホ市場で韓国サムスンのライバルとなる中国は、スマホ向けDRAMを早く量産してDRAMの調達コストを抑えたいところ。

そのスマホには一般的な汎用DRAMではなく、低消費電力タイプのLPDDR(Low-Power DDR)が主に搭載されるが、2021年の段階でCXMTのLPDDRが大手スマホメーカーに採用されているという話しは出ていない。

CXMTは中国スマホメーカーが要求するレベルのDRAMの品質と物量を確保できていない状況で、大手のスマホメーカーに採用されるのはもう少し歳月がかかる模様。

スマホ向けLPDDRの世界シェアは約50%を韓国サムスンが占め、残りを韓国SKハイニックスと米国マイクロンが分け合っているが、中国がこの分野で存在感が出てくると、真っ先に韓国勢は危なくなる。

製造装置関連

CXMTのDRAM製造における露光装置、エッチング装置、成膜装置、洗浄装置、検査装置などの調達先は、アプライドマテリアル(米国)、東京エレクトロン、ASML(欧州)、ラムリサーチ(米国)、SCREEN(日本)、KLAテンコール(米国)、アドバンテスト(日本)などのトップメーカーから主に調達している。

やはり、製造ノウハウが確立していない段階なので、最も品質や評価が高い企業の装置を採用している模様。そして確実に装置を調達するために金銭的な支払状況も良好だというのが業界のコンセンサス。

なお、中国政府の要望で中国企業が製造した設備を使用するように要求されているが、今のところ半導体製造装置の国産化比率はかなり低い。

資金調達について

CXMTは、地方政府の安徽省合肥市が75%を出資、兆易創新(半導体メーカー)が25%の出資して設立されたとされる。

半導体ビジネスは毎年数千億円から1兆円単位の多額の投資が必要だとされるが、やはり中国企業はほとんどが国有企業といってもいいので、資金面で不安がでればなんらかの形で中国政府から資金投入されると思われる。

スポンサーリンク

良い点と悪い点

アメリカと対立する中国の半導体企業であり、しかも新参企業なのでいろいろ難しいとは思うが、CXMTの良いところをいくつかあげてみる。

良い点

  • 問題だった製造特許について、ドイツのキマンダの技術を獲得できたことにより一気に飛躍できる土台が整った。
  • DRAM業界が3社に寡占化して価格が高止まりしている状況なので、DRAM調達メーカーからCXMTには成長して価格競争を仕掛けてほしいという願いが生じる。それが様々な恩恵をもたらす可能性あり。
  • 中国にはDRAMを必要とする企業がたくさんあることで、その恩恵を受けやすい。PCではレノボ。スマホではシャオミ、OPPO、VIVOなど。データセンター向けはアリババ、ファーウェイ、バイドゥ(検索)、テンセント(ゲーム会社)など。

問題点

  • 中国には半導体関連のエンジニアが乏しい。
  • 優秀な人材は海外企業から募集する必要があるが、アメリカからの制裁を避けながら人材確保しないといけない。
  • キマンダの技術を獲得したとはいえ、CXMTには知的財産・特許が乏しく、最先端のDRAM製造については他社の知的財産の問題をクリアしないといけない。
  • 運営資金に問題があるので、中国政府や自治体が補助金を出し続ける必要があるが、それが市場原理を破壊してしまえば、アメリカから制裁を受ける可能性がある。
  • 米中対立により、製造装置の導入が難しいかもしれない。実際に最先端露光装置においては、その問題は存在している。
  • 中国がDRAM開発に成功したとしても、外国企業からは「中国製」というだけで避けられてしまう可能性がある。特にアメリカの巨大データセンターを持つ企業、例えばGoogle、Amazon、Apple、マイクロソフトなどからは政治リスクの関係で避けられる可能性大。
スポンサーリンク

EUV露光装置を導入できない

サムスン、SKハイニックス、マイクロンの大手DRAMメーカーは、将来的にDRAM生産においてEUV露光装置(最先端装置)を導入すると表明している。しかし、中国はアメリカ政府からの横槍でEUV露光機の導入ができない状況。

EUV露光装置はオランダのASMLが世界で唯一開発に成功し販売しているが、情報産業を死守したいアメリカ政府からの要請で、中国への輸出をストップしている。ASMLは忖度状態。

現状が続けば、CXMTはEUV露光装置の以前の技術である「ArF液浸露光機」を使い「マルチパターニング技術(何度も回路を描写する方法)」を活用して製造していくことになるが、やはり何度も露光する方法は製造コストが高くなってしまう問題がある。

マイクロンによると、「12nm~13nmあたりまではEUVよりもArF液浸を使ったほうが全体的な価格競争で優位に立てるため、それまではArF液浸露光機を中心に製造を続ける予定」としているが、それを基準に言えば11nm以降はEUV露光装置を使用しないと価格面の競争で負けてしまうという事になる。

「ArF液浸露光」+「マルチパターニング法」の問題

  • 露光回数が増えることで生産性が悪化し、レジストやエッチングなどの材料コストも増加。
  • ナノメートルレベルの位置を合わせる露光精度の技術的な難しさ。良品率の低下。(EUV露光機を導入できない中国の半導体製造メーカーが悩んでいる問題)
  • プロセスを11nm→10nm→9nmというように微細化を求める場合、EUV露光機を使用した製造と比較すると、製造コストと技術難易度が必ず増大。

微細化はどこまで?

問題は「DRAMメーカー各社がどこまで微細化を実現できるのか」という事。DRAMの特性上、微細化が進むほどキャパシタ(蓄電)の容量を維持できなくなる問題がある。(電気を蓄えてデータを維持するのがDRAMだが、それができなくなるという事)

各メーカーは、微細化と共にそれ以外の技術的な工夫で解決しようとしている状況だが、いずれにしても微細化は競争において重要な要素となる。

2022年時点の最先端である14nmでも微細化が難しくなっているとされるが、良品率の問題で実質的に11nm~12nmほどで微細化が止まると仮定すると、CXMTが「ArF液浸+マルチパターニング」の製造ノウハウが確立できれば、EUV露光装置がなくても中国の力技でなんとかビジネスが成立するはず。

しかし、もし10nm、9nmといったレベルまで微細化と高良品率が実現した場合、CXMTにおいては良品率と同時に製造コストでも他社に大きく負けてしまう事になるため、ビジネス的にかなり難しくなる。

なお、DRAMの微細化が行き詰まる頃には、DRAMに代わってMRAMが台頭している可能性もある。(MRAMは日本のキオクシアやソニーが開発でリードしている不揮発性の次世代メモリ)

既存DRAMメーカーが歩留り向上にのめり込んでいるスキに、日本企業はMRAMで業界をひっくり返したいところ。

スポンサーリンク

【2022年11月追記】規制されてしまったCXMT

2022年10月、アメリカは中国脅威論を理由として、米国企業製品の中国半導体メーカーへの輸出規制強化を発表。

それまでは、ロジック半導体向けへの制裁/規制が中心だったが、今回、CXMTやYMTCといったメモリ半導体メーカーも該当する事に。CXMTへの実質的な規制は以下。

  • 配線ハーフピッチが18nm以下のDRAM製造に対応するアメリカ製造装置メーカーの中国への輸出規制。輸出には米国商務省の許可が必要となるが、アメリカの脅威となれば申請は却下される。
  • アメリカ人エンジニアが米国政府の許可なしに中国半導体メーカーの技術開発支援する事を禁止。

米国は日本やオランダ(ASML)にも「中国規制」を追随するよう要請し、日本政府も同様の規制をする事に。日米蘭の製造装置が使えなくなると、CXMTは製造装置を国産化しなければ競争についていけなくなる。

なお、半導体製造装置を国産化するには、技術的難易度が非常に高く、長い歳月がかかる。つまり、中国のデジタル半導体関連は今後、長い期間に渡って停滞が続く事になる。

このアメリカの措置がCXMTにおいてどれくらいの影響があるのかは不明な事が多いが、新しい情報を確認しだい、追記していきたい。

【追記】制裁による影響

  • 2023年に完成し稼働予定だった第2工場の建設がひとまず中断状態に。(2023年初頭時点)
  • 制裁後は米国の製造装置メーカーやエンジニアのサポートを受けられなくなったため、現場に混乱が生じているという。

【追記】米中対立の中でのCXMTの技術進展

  • 2023年11月、CXMTは中国メーカーとして初めて主にモバイル向けである「LPDDR5 DRAM」を発表。商品化へ。しかし、他社比較で生産性が悪いため、量はあまり出てこないはず。
  • 2023年12月、DRAM向けGAAトランジスタ開発を発表。
スポンサーリンク
関連記事
人気ページ
最近の投稿
カテゴリー